THERMAL SCIENCE

International Scientific Journal

THERMAL MODELING AND ANALYSIS OF 3-D INTEGRATED CIRCUITS WITH IRREGULAR STRUCTURE

ABSTRACT
Considering the manufacturing and packaging process, 3-D integrated circuits design often requires irregular chip structures. The 3-D integrated circuits with irregular structures can facilitate differentiated chip design and reduce manufac¬turing costs. Highly complex through-silicon vias have not been considered in past thermal modelling and analysis of irregularly structured 3-D integrated circuits. Thus, a detailed model of a three-layer irregularly structured 3-D integrated circuit with through-silicon vias and microbumps is developed, and an analytical method based on the thermal resistance network model is proposed to extract the equiv¬alent thermal conductivity of through-silicon vias and microbumps, the accuracy of which is verified by a 3-D finite element simulation method. The results show that the maximum temperature and temperature gradient obtained by the equivalent model simulations agree well with the detailed model results, proving the validity of the equivalent model. To save the computational cost, the effects of heat source area, power setting and through-silicon vias structure parameters on the maximum temperature are studied by numerical simulation method based on the equivalent model. Heat source area equal to the overlap between chip layers, high power chips close to the heat sink, and reducing through-silicon vias pitch can better reduce the maximum temperature. The results provide a reference value for thermal design and optimization of 3-D integrated circuits with irregular structures.
KEYWORDS
PAPER SUBMITTED: 2023-08-05
PAPER REVISED: 2023-02-24
PAPER ACCEPTED: 2023-03-04
PUBLISHED ONLINE: 2023-04-22
DOI REFERENCE: https://doi.org/10.2298/TSCI220805061R
CITATION EXPORT: view in browser or download as text file
THERMAL SCIENCE YEAR 2023, VOLUME 27, ISSUE Issue 5, PAGES [4193 - 4207]
REFERENCES
  1. Pavlidis, V. F., Savidis, I., The 3-D Integrated Circuit Design, 2nd ed., Elsever, Amsterdam, The Netherlands, 2017
  2. Alam, J. R., et al., Interstratum Connection Design Considerations for Cost-Effective 3-D System Integration, IEEE Transactions on Very Large-Scale Integration Systems, 18 (2009), 3, pp. 450-460
  3. Cao, Z., et al., A Survey of Optimization Techniques for Thermal-Aware 3-D Processors, Journal of Systems Architecture, 97 (2019), Aug., pp. 397-415
  4. Li, Z., et al., New Applications of an Automated System for High-power LED, IEEE/Asme Transactions On Mechatronic, 21 (2015), 2, pp. 1035-1042
  5. Cheng, T. Y., et al., Interconnect Reliability Characterization of a High-Density 3-D Chip-on-Chip Interconnect Technology, IEEE Transactions on Components, Packaging and Manufacturing Technology, 3 (2013), 12, pp. 2037-2047
  6. Su, I. W., et al., Investigation on Hygro-Thermo-Mechanical Stress of a Plastic Electronic Package, Journal of Mechanic, 30 (2014), 6, pp. 625-630
  7. Wang, H., et al., Analysis of Hotspots and Cooling Strategy for Multilayer 3-D Integrated Circuits, Applied Thermal Engineering, 186 (2021), 116336
  8. Choobineh, J., An Explicit Analytical Model for Rapid Computation of Temperature Field in a 3-D Integrated Circuit (3-D IC), International Journal of Thermal Sciences, 87 (2015), Jan., pp. 103-109
  9. Shan, L., et al., An Anisotropic Equivalent Thermal Model for Shield Differential Through-Silicon Vias, Micromachines, 12 (2021), 10, 1223
  10. Xiao, H., et al., An Effective and Efficient Numerical Method for Thermal Management in 3D Stacked Integrated Circuits, Applied Thermal Engineering, 121 (2017), July, pp. 200-209
  11. Tavakkoli, E., et al., Analysis of Critical Thermal Issues in 3-D Integrated Circuits, International Journal of Heat and Mass Transfer, 97 (2016), June, pp. 337-352
  12. Min, L., et al., Electrical-Thermal Cosimulation of Coaxial TSV with Temperature-dependent MOS Effect Using Equivalent Circuit Models, IEEE Transactions on Electromagnetic Compatibility, 62 (2020), 5, pp. 2247-2256
  13. Pi, W., et al., Anisotropic Equivalent Thermal Conductivity Model for Efficient and Accurate Full-Chip- Scale Numerical Simulation of 3-D Stacked IC, International Journal of Heat and Mass Transfer, 120 (2018), May, pp. 361-378
  14. Han, T., A Thermal Resistance Network Model Based on 3-D Structure, Measurement, 133 (2019), Feb., pp. 439-443
  15. Zhu, D., et al., Thermal-Aware Modelling and Analysis for a Power Distribution Network Including Through-Silicon-Vias in 3-D IC, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 38 (2018), 7, pp. 1278-1290
  16. Wang, P., An Analytical Model for Steady-State and Transient Temperature Fields in 3-D Integrated Circuits, IEEE Transactions on Components, Packaging and Manufacturing Technology, 6 (2016), 7, pp. 1026-1039
  17. Sultan, S. S., A Fast Leakage-Aware Green's-Function-Based Thermal Simulator for 3-D Chips, IEEE Transactions on Very Large-scale Integration (VLSI) Systems, 28 (2020), 11, pp. 2342-2355
  18. Hsu, C., et al., Stacking Signal TSV for Thermal Dissipation in Global Routing for 3-D IC, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33 (2014), 7, pp. 1031-1042
  19. Ren, A., et al., Thermal TSV Optimization and Hierarchical Floorplanning for 3-D Integrated Circuits, IEEE Transactions on Components, Packaging and Manufacturing Technology, 10 (2020), 4, pp. 599-610
  20. Chen, K., et al., Through Silicon Via Aware Design Planning for Thermally Efficient 3-D Integrated Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32 (2013), 9, pp. 1335-1346
  21. Xu, C., Fast Thermal Analysis for Fixed-Outline 3-D Floorplanning, Integration, 59 (2017), Sept., pp. 157-167
  22. Rangarajan, C., et al., Supervised Machine-Learning Approach for the Optimal Arrangement of Active Hotspots in 3-D Integrated Circuits, IEEE Transactions on Components, Packaging and Manufacturing Technology, 11 (2021), 10, pp. 1724-1733
  23. Ni, C., et al., Temperature-Aware Floorplanning for Fixed-Outline 3-D IC, IEEE Access, 7 (2019), Sept., pp. 139787-139794
  24. Alam, J. R., et al., New Design Considerations for Cost Effective 3-D (3D) System Integration, IEEE Trans Vlsi Systems, 18 (2010), 3, pp. 450-460
  25. Gomes, K., et al., 8.1 Lakefield and Mobility Compute: A 3-D Stacked 10 nm and 22FFL Hybrid Processor System in 12 × 12 mm 2, 1 mm Package-on-Package, Proceedings, IEEE International Solid-State Circuits Conference-(ISSCC), San Francisco, Cal., USA, 2020, pp. 144-146
  26. Sohn, Y., et al., A 1.2 V 20 nm 307 GB/s HBM DRAM with at-Speed Wafer-level IO Test Scheme and Adaptive Refresh Considering Temperature Distribution, IEEE Journal of Solid-State Circuits, 52 (2016), 1, pp. 250-260
  27. Li, H., et al., Chiplet Heterogeneous Integration Technology - Status and Challenges, Electronic, 9 (2020), 4, 670
  28. Chai, D., et al., An Effective Approach for Thermal Performance Analysis of 3-D Integrated Circuits with Through-silicon Vias, IEEE Transactions on Components, Packaging and Manufacturing Technology, 9 (2019), 5, pp. 877-887
  29. Liu, S., et al., Compact Lateral Thermal Resistance Model of TSV for Fast Finite-Difference Based Thermal Analysis of 3-D Stacked IC, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33 (2014), 10, pp. 1490-1502
  30. Cheng, H., et al., Heat Dissipation Assessment of through Silicon Via (TSV)-Based 3-D IC Packaging for CMOS Image Sensing, MicroelectronIC Reliability, 59 (2016), Apr., pp. 84-94
  31. Lau, Y., Effects of TSV (Through-Silicon Vias) on Thermal Performances of 3-D IC Integration System-in-Package (SiP), Microelectronic Reliability, 52 (2012), 11, pp. 2660-2669
  32. Wu, L., Analytical and Finite Element Methodology Modelling of the Thermal management of 3-D IC with through Silicon Via, Soldering and Surface Mount Technology, 28 (2016), 4, pp. 177-187
  33. choobineh, Jain, Determination of Temperature Distribution in 3-D Integrated Circuits (3-D IC) with Unequally-Sized Die, Applied Thermal Engineering, 56 (2013), 1-2, pp. 176-184
  34. Sikka, W., et al., An Efficient Lid Design for Cooling Stacked Flip-Chip 3-D Packages, Proceedings, 13th InterSociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, San Diego, Cal., USA, 2012, pp. 606-611
  35. Jain, A., Thermal Characteristic of Multi-die, 3-D Integrated Circuits with Unequally Sized Die, Proceedings, 12th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, Las Vegas, Nev., USA, 2010, pp. 1-6
  36. Wang, L., Thermal Management of 3-D Integrated Circuits with Special Structures, Thermal Science, 25 (2021), 3, pp. 2221-2225
  37. Lau, Y. T., Thermal Management of 3-D IC Integration with TSV (Through Silicon Vias), Proceedings, 59th Electronic Components and Technology Conference, San Diego, Cal., USA, 2009, pp. 635-640
  38. Chou, T., et al., On the Futility of Thermal Through-Silicon-Vias, Proceedings, International Symposium onVLSI Design, Automation, and Test (VLSI-DAT), Hsinchu, Taiwan, 2013, pp. 1-6
  39. Ouyang, H., et al., Electromigration Induced Failure on Lead-Free Micro Bumps in 3-D Integrated Circuits Packaging, Journal of Applied Physic, 112 (2012), 2, 023505
  40. Gambino, A. S., et al., An Overview of through-Silicon-Via Technology and Manufacturing Challenges, Microelectronic Engineering, 135 (2015), Mar., pp. 73-106
  41. Huang, Z., et al., Heat Transfer Analysis and Experimental Study of Unequal Diameter Twin-Roll Casting Process for Fabricating Cu/Al Clad Strips, Journal of Central South University, 29 (2022), 4, pp. 1133-1146

© 2024 Society of Thermal Engineers of Serbia. Published by the Vinča Institute of Nuclear Sciences, National Institute of the Republic of Serbia, Belgrade, Serbia. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution-NonCommercial-NoDerivs 4.0 International licence