THERMAL SCIENCE

International Scientific Journal

Authors of this Paper

External Links

OPTIMIZED METHOD FOR THERMAL THROUGH SILICON VIA PLACEMENT WITH NON-UNIFORM HEAT SOURCES IN 3-D-IC

ABSTRACT
In the past few years, thermal through silicon via (TTSV) has been experimentally investigated as an effective heat dissipation path. Although a lot of heat dissipation-related issues have been solved in 3-D integrated circuit (3-D-IC), there are neglections in TTSV placement with non-uniform heat sources so far. In this study, a unique optimization is proposed to locate TTSV while effectively alleviating hot spots in 3-D-IC. The thermal dissipation of non-uniform heat sources are studied using the finite element method. The simulation results show that the minimum temperature is reduced by 2.1% compared with peak temperature in the single-layer chip, and by 1.9% in the three-layer chip.
KEYWORDS
PAPER SUBMITTED: 2022-08-01
PAPER REVISED: 2022-12-11
PAPER ACCEPTED: 2022-12-16
PUBLISHED ONLINE: 2023-02-11
DOI REFERENCE: https://doi.org/10.2298/TSCI220801021D
CITATION EXPORT: view in browser or download as text file
THERMAL SCIENCE YEAR 2023, VOLUME 27, ISSUE Issue 5, PAGES [3551 - 3559]
REFERENCES
  1. Salvi, S. S., Jain, A., A Review of Recent Research on Heat Transfer in 3-D Integrated Circuits (3-D IC), IEEE Transactions on Components, Packaging, Manufacturing Technology, 11 (2021), 5, pp. 802-821
  2. Choobineh, L., Jain, A., An Explicit Analytical Model for Rapid Computation of Temperature Field in a 3-D Integrated Circuit (3D IC), International Journal of Thermal Sciences, 87 (2015), Jan., pp. 103-109
  3. Wang, C., et al., Analysis of hotspots and cooling strategy for multilayer 3-D Integrated Circuits, Applied Thermal Engineering, 186 (2021), Mar., pp. 116336-116336
  4. Cao, K., et al., A Survey of Optimization Techniques for Thermal-Aware 3-D Processors, Journal of Systems Architecture, 97 (2019), Aug., pp. 397-415
  5. Todri, A., et al., A Study of Tapered 3-D TSV for Power and Thermal Integrity, IEEE Transactions on Very Large-Scale Integration Systems, 21 (2013), 2, pp. 306-319
  6. Wang, K. J., et al., An Analytical Thermal Model for 3-D Integrated Circuits with Integrated Micro-Channel Cooling, Thermal Science, 21 (2017), 4, pp. 1601-1606
  7. A, Y. S., et al., Thermal Assessment of Copper through Silicon Via in 3-D IC, Microelectronic Engineering, 156 (2016), Apr., pp. 2-5
  8. Luo, G., et al., An Analytical Placement Framework for 3-D IC and Its Extension on Thermal Awareness, IEEE Transactions on Computer-Aided Design of Integrated Circuits Systems, 32 (2013), 4, pp. 510-523
  9. Xiao, C., et al., An Effective and Efficient Numerical Method for Thermal Management in 3-D Stacked Integrated Circuits, Applied Thermal Engineering: Design, Processes, Equipment, Economics, 121 (2017), July, pp. 200-209
  10. Goplen, B., Sapatnekar, S. S., Placement of Thermal Vias in 3-D IC Using Various Thermal Objectives, IEEE Transactions on Computer-Aided Design of Integrated Circuits Systems, 25 (2006), 4, pp. 692-709
  11. Sheng, L., et al., Thermal-WLP: A Transient Thermal Simulation Method Based on Weighted Laguerre Polynomials for 3-D IC, IEEE Transactions on Components, Packaging, Manufacturing Technology, 7 (2017), 3, pp. 405-411
  12. Pi, Y., et al., Anisotropic Equivalent Thermal Conductivity Model for Efficient and Accurate Full-Chip-scale Numerical Simulation of 3-D stacked IC, International Journal of Heat Mass Transfer, 120 (2018), May, pp. 361-378
  13. Ma, W. C.-Y., et al., Impacts of Vertically Stacked Monolithic 3-D-IC Process on Characteristics of underlying Thin-Film Transistor, IEEE Journal of the Electron Devices Society, 8 (2020), July, pp. 724-730
  14. Rakesh, B., et al., Simplistic Approach to Reduce Thermal Issues in 3-D IC Integration Technology, Materials Today: Proceedings, 45 (2021), Part 2, pp. 1399-1402
  15. Chai, J., et al., An Effective Approach for Thermal Performance Analysis of 3-D Integrated Circuits with through-Silicon Vias, IEEE Transactions on Components, Packaging and Manufacturing Technology, 9 (2019), 5, pp. 877-887
  16. Budhathoki, P., et al., Thermal-Driven 3-D Floorplanning Using Localized TSV Placement, IEEE International Conference on IC Design and Technology, (2014), pp. 1-4
  17. Xu, Q., Chen, S., Fast Thermal Analysis for Fixed-Outline 3-D Floorplanning, Integration the VLSI Journal, 59 (2017), Sept., pp. 157-167
  18. Ren, Z., et al., Thermal TSV Optimization and Hierarchical Floorplanning for 3-D Integrated Circuits, IEEE Transactions on Components, Packaging, Manufacturing Technology, 10 (2020), 4, pp. 599-610
  19. Ni, T., et al., A Cost-Effective TSV Repair Architecture for Clustered Faults in 3-D IC, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 40 (2021), 9, pp. 1952-1956
  20. Reddy, R. P., et al., A Cost-Aware Framework for Lifetime Reliability of TSV-Based 3-D-IC Design, IEEE Transactions on Circuits and Systems II: Express Briefs, 67 (2020), 11, pp. 2677-2681
  21. Radeep, K., et al., A Novel Optimization Approach for Partitioning-Based Place and Route in 3-D Integrated Circuits, International Journal of Electrical Engineeringh, (2020), 002072092093034

© 2024 Society of Thermal Engineers of Serbia. Published by the Vinča Institute of Nuclear Sciences, National Institute of the Republic of Serbia, Belgrade, Serbia. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution-NonCommercial-NoDerivs 4.0 International licence