THERMAL SCIENCE

International Scientific Journal

External Links

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING

ABSTRACT
An analytical thermal model is developed for N-diestacked chips with integrated microchannels cooling. The model is implemented with some mathematical software. Comparison of the temperature predicted by the proposed model with some CFD software numerical results shows excellent agreement, and the maximal relative error is less than 4.0%.
KEYWORDS
PAPER SUBMITTED: 2016-07-16
PAPER REVISED: 2016-08-23
PAPER ACCEPTED: 2016-10-26
PUBLISHED ONLINE: 2017-09-09
DOI REFERENCE: https://doi.org/10.2298/TSCI160716041W
CITATION EXPORT: view in browser or download as text file
THERMAL SCIENCE YEAR 2017, VOLUME 21, ISSUE Issue 4, PAGES [1601 - 1606]
REFERENCES
  1. Banerjee, K., et al., 3-D IC: A Novel Chip Design for Improving Deep-Submicrometer Interconnect Performance and Systems-on-Chip Integration, Proc. IEEE, 89 (2001), 5, pp. 602-633
  2. Wang, K. J., et al., An Analytical Model for Steady-State and Transient Temperature Fields in 3-D Integrated Circuits, IEEE Trans. Compon., Packag., Manuf. Technol, 6 (2016), 7, pp. 1028-1041
  3. Choobineh, L., et al., Analytical Solution for Steady-State and Transient Temperature Fields in Vertically Stacked 3-D Integrated Circuits, IEEE Trans. Compon., Packag., Manuf. Technol, 2 (2012), 12, pp. 2031-2039
  4. Wang, K. J., et al., Integrated Micro-Channel Cooling in a Three Dimensional Integrated Circuit: A Thermal Management, Thermal Science, 20 (2016), 3, pp. 899-902
  5. Sridhar, A., et al., 3D-ICE: A Compact Thermal Model for Early-Stage Design of Liquid-Cooled IC, IEEE T Comput, 63 (2014), 10, pp. 2576-2589
  6. Choobineh, L., et al., An Explicit Analytical Model for Rapid Computation of Temperature Field in a Three-Dimensional Integrated Circuit (3D IC), Int. J. Therm. Sci., 87 (2015), Jan., pp. 103-109
  7. Yu, W. J., et al., Fast 3-D Thermal Simulation for Integrated Circuits with Domain Decomposition Method, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 32 (2013), 12, pp. 2014-2018
  8. Shi, B., et al., Co-Design of Micro-Fluidic Heat Sink and Thermal Through-Silicon-Vias for Cooling of Three-Dimensional Integrated Circuit, IET Circuits Device & Systems, 7 (2013), 5, pp. 223-231
  9. Yan, H. X., et al., Thermal Aware Placement in 3D IC Using Quadratic Uniformity Modeling Approach, The VLSI Journal, 42 (2009), 2, pp. 175-180
  10. Wang, F. J., et al., A Thermal Model for the Top Layer of 3D Integrated Circuits Considering through Silicon Vias, Proceedings, 9th International Conference on ASIC, Xiamen, China, 2011, pp. 618-620
  11. Lau, J. H., et al., Thermal Management of 3D IC Integration with TSV (through Silicon via), Proceedings, IEEE Electronic Components and Technology Conference, San Diego, Cal., USA, 2009, pp. 635-640
  12. Jain, A., et al., Analytical and Numerical Modeling of the Thermal Performance of Three-Dimensional Integrated Circuits, IEEE Trans. Compon. Packag. Technol, 33 (2010), 1, pp. 56-63
  13. Zhu, Z. M., et al., An Analytical Thermal Model for 3D Integrated Circuit Considering through Silicon Via, Acta Phys. Sin., 60 (2011), 11, pp. 2509-2515
  14. Cengel, Y. A., Heat and Mass Transfer: a Practical Approach, McGraw Hill, New York, USA, 2007

© 2024 Society of Thermal Engineers of Serbia. Published by the Vinča Institute of Nuclear Sciences, National Institute of the Republic of Serbia, Belgrade, Serbia. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution-NonCommercial-NoDerivs 4.0 International licence